magillem_logo2  

Magillem

 

 

 

マジレムはIP-XACTに準拠した、RTL(設計・検証)、SystemC、アナログ向け各ソリューション、およびドキュメントとデザインの完全な一致を実現するソリューションを提供しています。


マジレムが提供しているソリューションの特長は以下の通りです。


  • RTL向けソリューション:検証IP、UVMを含む検証環境の自動生成、合成ツール向けネットリスト自動生成
  • TLMベースプラットフォーム生成:階層化可能なTLM IPのアセンブリ、主要EDAベンダ(ケイデンス、シノプシスおよびメンター)に対応したSystemC TLMのネットリスト生成(2014年10月一般リリース予定)
  • アナログ向けソリューション:ドキュメントに記載されている条件をもとにアナログシミュレータを自動実行し、結果をドキュメントに自動反映可能(開発中)
  • ドキュメントとデザインの一致:設計データ(ポート、レジスタ、パラメータ情報等)とドキュメント(仕様書)との完全な同期を実現

展示会場では全てのソリューションを紹介しております。是非弊社ブースにお立ち寄りください。

 
s2c